VLSIシステム設計   VLSI System Design

文字サイズ 

担当教員
一色 剛 
使用教室
火5-6(S321)  
単位数
講義:2  演習:0  実験:0
講義コード
56011
シラバス更新日
2015年9月16日
講義資料更新日
2015年10月7日
アクセス指標
学期
後期

講義概要

Prerequisite: VLSI design methodology or equivalent
Representation of Boolean function. Synthesis of two-level and multi-level combinational circuits. Synthesis of sequential circuits. Test and synthesis for test ability. Decomposition, allocation, assignment and scheduling in high-level synthesis.

講義の目的

This course is designed to cover the underlining theories and technologies which support the systematic design process of current VLSIs.

講義計画

1.Introduction - VLSI design methodology and computer-aided design (CAD) tools
2.Introduction - Hardware description language and hardware behavior model
3.Logic synthesis - Two-level logic minimization
4.Logic synthesis - Multi-level logic minimization
5.Logic synthesis - Area-optimal technology mapping
6.Logic synthesis - Delay-optimal technology mapping
7.Logic synthesis - Fan-out optimization
8.High-level synthesis - Design methodology
9.High-level synthesis - Operation scheduling
10.High-level synthesis - Resource allocation
11.Advanced topics in system-level design issues

教科書・参考書等

Lecture notes can be downloaded from the WEB.
Recommended textbooks :

・Sabih H. Gerez, "Algorithms for VLSI Design Automation", John Wiley & Sons, 1999
・Srinivas Devadas, et al., "Logic Synthesis", McGraw-Hill, 1994
・Jan Vanhoof, et al., "High-Level Synthesis for Real-Time Digital
・Signal Processing", Kluwer Academic Publishers, 1992

関連科目・履修の条件等

"Introduction to Algorithms"(離散構造とアルゴリズム) and "Integrated Circuit Design"(集積回路設計) are prerequisite.

成績評価

Grades based on reports

担当教員の一言

Students interested in any aspects of VLSI design are welcomed.

このページのトップへ